什么是EDA技术啊

如题所述

第1个回答  2019-03-12
EDA是电子设计自动化(Electronics Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
1、EDA介绍:
EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。
利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

2、设计方法及技巧
a、方法
(1) 前端设计(系统建模RTL 级描述)后端设计(FPGAASIC)系统建模。
(2)IP复用。
(3) 前端设计。
(4) 系统描述:建立系统的数学模型。
(5) 功能描述:描述系统的行为或各子模块之间的数据流图。
(6)逻辑设计:将系统功能结构化,通常以文本、原理图、逻辑图、布尔表达式来表示设计结果。
(7) 仿真:包括功能仿真和时序仿真,主要验证系统功能的正确性及时序特性。

b、设计技巧
(1)密码锁输入电路KEYB 0ARD.VHD中对各种分频信号/信号序列的设计有独到之处。该设计中,利用一个自由计数器来产生各种需要的频率,也就是先建立一个N位计数器,N的大小根据电路的需求来决定。N的值越大,电路可以除频的次数就越多,这样就可以获得更大的频率变化,以便提供多种不同频率的时钟信号。若输入时钟为CLK,N位计数器的输出为Q[N-1,0],则Q(0)为CLK的2分频脉冲信号,Q(1)为CLK的4分频脉冲信号,Q(2)为CLK的6分频脉冲信号,……Q(N-1)为CLK的2N分频脉冲信号;Q(5 DOWNT04)取得的是一个脉冲波形序列,其值是依00、01、10、11、00、01周期性变化的,其变化频率为CLK的25分频,也就是32分频。我们利用以上规律即可得到各种我们所需要频率的信号或信号序列。
(2)键盘输入去抖电路的设计程序DEBOUNCING.VHD在实际系统的开发中有较好的参考价值。
(3)密码锁控制电路CTRL,VHD中对于数据的更新及移位方法比较好。程序中使用语句“ACC <=ACC(11 DOWNT0 0)&DATA_N”非常简洁地同时实现了ACC中的低4位用DATA_N进行更新,而高12位用ACC中的原来的低12位左移而来的处理。
(4)在密码锁输入电路等模块的程序的设计和仿真中,为了便于观察一些中间结果,在程序中增加了一些观测输出点。这一设计技巧,对于较大的程序或多进程程序的设计非常重要。同时在仿真时,为了便于观测全局结果,降低了分频常数。同理,在进行程序仿真时,对于程序中数目较大的分频/计数/计时常数的修改是非常必要的。
3、EDA应用
现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。
EDA设计可分为系统级、电路级和物理实现级。