33问答网
所有问题
用vhdl语言做数字电子时钟的思路以及每个片的详细作用,以及连接原理,急!急!急!急!
具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。明天老师提问啊,太简单的看不懂啊,大家帮帮忙,谢谢了!
举报该问题
其他回答
第1个回答 2011-01-05
最简单的就是要产生秒信号,秒信号0-59,分信号0-59,时信号0-23,多是逐级进位相加,网上也有不少时钟的例子。还要借数码管,当然要有译码显示电路了
相似回答
基于vhdl电子
秒表的系统设计怎么做?
答:
一、实验
原理
:用层次化设计的方法以
VHDL语言
编程实现以下功能:【1】具有“时”、“分”、“秒”计时功能;时为24进制,分和秒都为60进制。【2】具有消抖功能:手工按下键盘到是否这个过程大概50ms左右,在按下开始到弹簧片稳,定接触这段时间为5-10ms,从释放到弹片完全分开也是5-10ms,在达到...
VHDL数字时钟
完整程序代码(要求要有元件例化,并且有按键消抖),谢谢啦啦...
答:
特别是当
每一个
子模块编写调试成功时,心里特别的开心。但是在画顶层
原理
图时,遇到了不少问题,最大的问题就是根本没有把各个模块的VHD文件以及生成的器件都全部放在顶层文件的文件夹内,还有就是程序设计的时候考虑的不够全面,没有联系着各个模式以及实验板的情况来编写程序,以至于多考虑编写了译码电路...
用VHDL语言
编写一
数字时钟
答:
signal HOUR1,HOUR10 : integer range 0 to 9;signal Clk1kHz : std_logic;--数码管扫描时钟 signal Clk1Hz : std_logic;--时钟计时时钟 signal led_count : std_logic_vector(2 downto 0);signal led_display : std_logic_vector(3 downto 0);signal spkcout : std_log...
大家正在搜
vhdl语言有什么用
vhdl语言的基本结构
vhdl语言100例详解
vhdl语言设计一个东西
vhdl语言赋值语句
vhdl语言variable
语言表达逻辑思路整理
vhdl语言pdf
vhdl是什么语言