用VHDL语言设计一个具有异步复位功能的8位D触发器

如题所述

第1个回答  2013-12-02
library ieee;
use ieee.std_logic_1164.all;
entity dff8 is
port (clk,clr:in std_logic;
d:IN STD_LOGIC_VECTOR(7 DOWNTO 0);
q:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
end dff8;
architecture bhv of dff8 is
signal q1:STD_LOGIC_VECTOR(7 DOWNTO 0) :="00000000";
begin
process(clk,clr,q1)
begin
if clr = '1' then
q1<= "00000000";
elsif clk'event and clk='1' then
q1<=d;
end if ;
q<=q1;
end process ;
end bhv;