急求三菱PLC五层楼电梯程序!!!

这里不能发的话 可以发我的邮箱上 谢谢!!!
[email protected]

<FPGA实例程序大全>免费下载这里面有一编(不知是不是,你自己看)给你参考

 电梯控制器VHDL程序与仿真。

--文件名:dianti.vhd。

--功能:6层楼的电梯控制系统。

--最后修改日期:2004.4.12。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity dianti is 

port ( clk : in std_logic;                       --时钟信号(频率为2Hz)

       full,deng,quick,clr : in std_logic;         --超载、关门中断、提前关门清除报警信号

       c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic;    --电梯外人的上升请求信号

       c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic;   --电梯外人的下降请求信号

       d1,d2,d3,d4,d5,d6 : in std_logic;          --电梯内人的请求信号

       g1,g2,g3,g4,g5,g6 : in std_logic;          --到达楼层信号

       door : out std_logic_vector(1 downto 0);    --电梯门控制信号

       led : out std_logic_vector(6 downto 0);     --电梯所在楼层显示

    led_c_u:out std_logic_vector(5 downto 0);  --电梯外人上升请求信号显示

       led_c_d:out std_logic_vector(5 downto 0);  --电梯外人下降请求信号显示

参考资料:http://www.mcudata.com/Webmaster/CPLDyuFPGAdanpianji/2009/0417/736.html

温馨提示:答案为网友推荐,仅供参考
第1个回答  2009-04-17
我经过多年现场摸爬滚打,对PLC控制系统玩透了,我们是个人对个人的交易,保证你省钱满意,否则不要你钱!
第2个回答  2019-01-17
发给你了,你查看下.
第3个回答  2009-04-28
50分就换个程序呀,
这程度也太不管钱了吧,
哎,
第4个回答  2009-04-16
我有三层的 可以自己加 如需要 邮箱告诉我