eda进行秒表设计程序

一 设计目的
1. 了解数字秒表的工作原理。
二 硬件需求
1.EDA/SOPC-II+实验箱一台。
三 设计原理
秒表由于其计时精确,分辨率高(0.01秒),在各种竞技场所得到了广泛的应用。
秒表的工作原理与数字时基本相同,唯一不同的是秒表的计时时钟信号,由于其分辨率为0.01秒,所以整个秒表的工作时钟是在100Hz的时钟信号下完成。当秒表的计时小于1个小时时,显示的格式是mm-ss-xx(mm表示分钟:0~59;ss表示秒:0~59;xx表示百分之一秒:0~99),当秒表的计时大于或等于一个小时时,显示的和多功能时钟是一样的,就是hh-mm-ss(hh表示小时:0~99),由于秒表的功能和钟表有所不同,所以秒表的hh表示的范围不是0~23,而是0~99,这也是和多功能时钟不一样的地方。
在设计秒表的时候,时钟的选择为100Hz。变量的选择:因为xx(0.01秒)和hh(小时)表示的范围都是0~99,所以用两个4位二进制码(BCD码)表示;而ss(秒钟)和mm(分钟)表示的范围是0~59,所以用一个3位的二进制码和一个4位的二进制码(BCD)码表示。显示的时候要注意的问题就是小时的判断,如果小时是00,则显示格式为mm-ss-xx,如果小时不为00,则显示hh-mm-ss。
四 设计内容
本设计的任务就是设计一个秒表,系统时钟选择时钟模块的1KHz,由于计时时钟信号为100Hz,因此需要对系统时钟进行10分频才能得到,之所以选择1KHz的时钟是因为七段码管需要扫描显示,所以选择1KHz。
管脚分配:
实验符号 对应附录符号 管脚
Clk Clock PIN_29
Display[0] A PIN_62
Display[1] B PIN_61
Display[2] C PIN_60
Display[3] D PIN_59
Display[4] E PIN_58
Display[5] F PIN_57
Display[6] G PIN_56
Display[7] DP PIN_55
SEG_SEL[0] SEL0 PIN_63
SEG_SEL[1] SEL1 PIN_64
SEG_SEL[2] SEL2 PIN_65
S2 S2 PIN_67
S1 S1 PIN_66
S3 S3 PIN_68
要求必须能运行,最好带注释,谢谢了

;**** IC=AT89S52 F=12MHz T=1uS *****************************************
;**** WRITER:COCO.LI *************
; 定时器T0、T1溢出周期为50MS(10MS),T0为秒计数用,T1为调整时闪烁用,
;**** P1.0、P1.1、P1.2为调整按钮,P0口为字符输出口,采用共阳显示管。
;********** 中断程序入口 ****************
ORG 0000H ;程序执行开始地址
LJMP START ;跳到标号START执行
ORG 0003H ;外中断0中断程序入口
RETI ;外中断0中断返回
ORG 000BH ;定时器T0中断程序入口
LJMP INTT0 ;跳到标号INTT0执行
ORG 0013H ;外中断1中断程序入口
RETI ;外中断1中断返回
ORG 001BH ;定时器T1中断程序入口
LJMP INTT1 ;跳到标号INTT1执行
ORG 0023H ;串行口中断程序入口
RETI ;串行口中断程序返回
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
; 主程序 ;;;;;;;;;;;;;;;;;;;;;;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
ORG 0030H ;
START:LCALL ST ;上电显示年月日及班级学号
MOV R0,#70H ;清70H~7AH共11个内存单元
MOV R7,#0BH ;
CLEARDISP:
MOV @R0,#00H
INC R0
DJNZ R7,CLEARDISP
MOV 20H,#00H ;清20H , 标志用
MOV 7AH,#0AH ;放入“熄灭符”数据
MOV TMOD,#11H ;设T0、T1为16位定时器
MOV TL0,#0B0H ;50MS定时初值,T0计时用
MOV TH0,#3CH ;50MS定时初值
MOV TL1,#0B0H ;50MS定时初值,T1闪烁定时用
MOV TH1,#3CH ;50MS定时初值
SETB EA ;总中断开放
SETB ET0 ;允许T0中断
SETB TR0 ;开启T0定时器
MOV R4,#14H ;1 S定时用初值50MS*20
START1:
LCALL DISPLAY ;调用显示子程序
JNB P3.3,SETMM1 ;P3.3口为0时,转时间调整程序
JNB P3.4,FUNSS ;秒表功能,P3.4按键调时时作减1操作
JNB P3.5,FUNPT ;STOP,PUSE,CLR
SJMP START1 ;P3.3口为1时跳回START1
SETMM1:
LJMP SETMM ;转到时间调整程序SETMM
FUNSS:
LCALL DS20MS ;
JB P3.4,START1 ;
WAIT11: ;
JNB P3.4,WAIT11 ;
CPL 03H ;
MOV 70H,#00H ;
MOV 70H,#00H ;
MOV 70H,#00H
MOV 70H,#00H ;
MOV 70H,#00H ;
MOV 70H,#00H ;
AJMP START1 ;
FUNPT: ;
LCALL DS20MS ;
JB P3.5,START1 ;
WAIT22: ;
JNB P3.5,WAIT21 ;
CLR ET0 ;
CLR TR0 ;
WAIT33: ;
JB P3.5,WAIT31 ;
LCALL DS20MS ;
JB P3.5,WAIT33 ;
WAIT66:
JNB P3.5,WAIT61
MOV R0,#70H ;清70H~79H共10个内存单元
MOV R7,#0AH
CLEARP:
MOV @R0,#00H
INC R0
DJNZ R7,CLEARP
WAIT44:
JB P3.5,WAIT41
LCALL DS20MS
JB P3.5,WAIT44
WAIT55:
JNB P3.5,WAIT51
SETB ET0
SETB TR0
AJMP START1
WAIT21:
LCALL DISPLAY
AJMP WAIT22
WAIT31:
LCALL DISPLAY
AJMP WAIT33
WAIT41:
LCALL DISPLAY
AJMP WAIT44
WAIT51:
LCALL DISPLAY
AJMP WAIT55
WAIT61:
LCALL DISPLAY
AJMP WAIT66
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;;;; 1 s计时程式 ;;;;;;;;;;;;;;;;;;;;;;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
; T0中断服务程序
INTT0:
PUSH ACC ;累加器入栈保护
PUSH PSW ;状态字入栈保护
CLR ET0 ;关T0中断允许
CLR TR0 ;关定时器T0
JB 03H,FSS ;标志为1转秒表处理程序,,10MS定时
MOV A,#0B7H ;中断响应时间同步修正
ADD A,TL0 ;低8位初值修正
MOV TL0,A ;重装初值,,低8位修正值
MOV A,#3CH ;高8位初值修正
ADDC A,TH0
MOV TH0,A ;重装初值,, 高8位修正值
SETB TR0 ;开启定时器T0
DJNZ R4,OUTT0;20次中断未到中断退出
ADDSS:
MOV R4,#14H ;20次中断到,重赋初值,,1S
MOV R0,#71H ;指向秒计时单元..71H~72H
ACALL ADD1 ;调用加1程序,,加1S操作.
MOV A,R3 ;秒数据放入A,,R3为2位十进制数组合
CLR C ;清进位标志
CJNE A,#60H,ADDMM
ADDMM:
JC OUTT0 ;短于60S时中断退出
ACALL CLR0 ;长于或者等于60S时对秒计数单元清0
MOV R0,#77H ;指向分计时单元,,76H~77H
ACALL ADD1 ;分计时单元加1
MOV A,R3 ;分数据放入A
CLR C ;清进位标志
CJNE A,#60H,ADDHH ;秒表时最大为99
ADDHH:
JC OUTT0 ;短于60min时中断退出
ACALL CLR0 ;长于或者等于60min时对分计数单元清0
MOV R0,#79H ;指向分计时单元,,78H~79H
ACALL ADD1 ;小时计时单元加1h
MOV A,R3 ;时数据放入A
CLR C ;清进位标志
JB 03H,OUTT0 ;秒表时最大为99
CJNE A,#24H,HOUR
HOUR:
JC OUTT0 ;短于24h时中断退出
ACALL CLR0 ;长于或者等于24h时对计时单元清0
OUTT0:
MOV 72H,76H ;中断退出时将分\时计时单元数据移
MOV 73H,77H ;入对应显示单元
MOV 74H,78H
MOV 75H,79H
POP PSW ;恢复状态字出栈
POP ACC ;恢复累加器
SETB ET0 ;开放T0中断
RETI
;秒表计时程序(10min加1),低2位为0.1、0.01秒,中间2位为秒,最高2位为分.
;最大计数值为99分59.99秒
FSS: MOV A,#0F7H
ADD A,TL0
MOV TL0,A
MOV A,#0D8H
ADDC A,TH0
MOV TH0,A
SETB TR0
MOV R0,#71H
ACALL ADD1
CLR C
MOV A,R3
JZ FSS1
SETB C
FSS1: AJMP ADDMM
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 闪动调时程式 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
; T1中断服务程序,用作时间调整单元闪烁指示
INTT1: PUSH ACC
PUSH PSW
MOV TL1,#0B0H
MOV TH1,#3CH
DJNZ R2,INTT1OUT
MOV R2,#06H
CPL 02H
JB 02H,FLASH1
MOV 72H,76H
MOV 73H,77H
MOV 74H,78H
MOV 75H,79H
INTT1OUT:
POP PSW
POP ACC
RETI
FLASH1: JB 01H,FLASH2
MOV 72H,76H
MOV 73H,77H
MOV 74H,78H
MOV 75H,79H
AJMP INTT1OUT
FLASH2: MOV 72H,76H
MOV 73H,77H
MOV 74H,78H
MOV 75H,79H
AJMP INTT1OUT
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 加1子程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;
;
ADD1: MOV A,@R0 ;取当前计时单元数据到A
DEC R0 ;指向前一地址
SWAP A ;A中数据高四位与低四位交换
ORL A,@R0 ;前一地址中数据放入A中低四位
ADD A,#01H ;A加1操作
DA A ;十进制调整
MOV R3,A ;移入R3中暂存数据
ANL A,#0FH ;高四位变0
MOV @R0,A ;数据放入当前地址单元中
MOV A,R3 ;返回
INC R0
SWAP A
ANL A,#0FH
MOV @R0,A
RET
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 分减1子程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;
SUB1: MOV A,@R0 ;取当前计时单元数据到A
DEC R0 ;指向前一地址
SWAP A ;A中数据高四位与低四位交换
ORL A,@R0 ;前一地址中数据放入A中低四位
JZ SUB11
DEC A ;A减1操作
SUB111: MOV R3,A ;移入R3中暂存数据
ANL A,#0FH ;高四位变0
CLR C ;清进位标志
SUBB A,#0AH
SUB1111:
JC SUB1110
MOV @R0,#09H ;大于等于0AH,为9
SUB110:
MOV A,R3 ;取回R3中暂存数据
INC R0 ;指向当前地址单元
SWAP A ;A中数据高四位与低四位交换
ANL A,#0FH ;高四位变0
MOV @R0,A ;数据放入当前地址单元中
RET ;返回
SUB11: MOV A,#59H
AJMP SUB111
SUB1110:
MOV A,R3 ;移入R3寄存器
ANL A,#0FH
MOV @R0,A
AJMP SUB110
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 时减1子程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;
SUBB1: MOV A,@R0 ;取当前计时单元数据到A
DEC R0 ;指向前一地址
SWAP A ;A中数据高四位与低四位交换
ORL A,@R0 ;前一地址中数据放入A中低四位
JZ SUBB11 ;00减1为23H
DEC A ;A减1操作
SUBB111:MOV R3,A ;;移入R3中暂存数据
ANL A,#0FH ;高四位变0
CLR C ;清进位标志
SUBB A,#0AH ;时个位大于9为9
SUBB1111:
JC SUBB1110
MOV @R0,#09H ;大于等于0AH,为9
SUBB110:
MOV A,R3 ;取回R3中暂存数据
INC R0 ;指向当前地址单元
SWAP A ;A中数据高四位与低四位交换
ANL A,#0FH ;高四位变0
MOV @R0,A ;数据放入当前地址单元中
RET ;返回
;
SUBB11:
MOV A,#23H
AJMP SUBB111
SUBB1110:
MOV A,R3 ;时个位小于0A不处理
ANL A,#0FH ;高四位变0
MOV @R0,A ;个位移入
AJMP SUBB110
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 清0程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;对计时单元复0用
CLR0: CLR A ;清累加器
MOV @R0,A ;清当前地址单元
DEC R0 ;指向前一地址
MOV @R0,A ;前一地址单元清0
RET ;子程序返回
;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 时钟调整程序 ;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;当调时按键按下时进入此程序
SETMM: CLR ET0 ;关定时器T0中断
CLR TR0 ;关闭定时器T0
LCALL DL1S ;调用1S延时程序
JB P3.3,CLOSEDIS ;键按下时间短于1S,关闭显示,,省电
MOV R2,#06H ;进入调时状态,赋闪烁定时初值
SETB ET1 ;允许T1中断
SETB TR1 ;开启T1定时器
SET2:
JNB P3.3,SET1 ;P3.3口为0时,等待,,键未释放
SETB 00H ;键释放,分调整闪烁标志置1
SET4: ;等待键按下
JB P3.3,SET3 ;等待键按下
LCALL DL05S ;有键按下,延时0.5S
JNB P3.3,SETHH ;按下时间长于0.5S,转调小时状态
MOV R0,#77H ;按下时间短于0.5S,加1min操作
LCALL ADD1 ;调用加1子程序
MOV A,R3 ;取调整单元数据
CLR C ;消位标志
CJNE A,#60H,HHH ;调整单元数据与60比较
HHH: JC SET4 ;调整单元数据小于60,转SET4循环
LCALL CLR0 ;调整单元数据大于或等于60时,清0
CLR C ;清进位0
AJMP SET4 ;跳转到SET4循环
CLOSEDIS:
SETB ET0 ;省电状态,开T0中断,,LED灯不显示
SETB TR0 ;开启T0中断
CLOSE:
JB P3.3,CLOSE ;无键按下,等待
LCALL DISPLAY ;有键按下,调用显示子程序延时消抖
JB P3.3,CLOSE ;是干扰,返回CLOSE等待
WAITH:
JNB P3.3,WAITH ;等待键释放
LJMP START1 ;返回主程序,,LED数据显示亮
SETHH: CLR 00H ;分闪烁标志清除,,进入调小时状态
SETHH1: JNB P3.3,SET5 ;等待键释放
SETB 01H ;小时调整标志置1
SET6: JB P3.3,SET7 ;等待键按下
LCALL DL05S ;有键按下,延时0.5S
JNB P3.3,SETOUT ;按下时间长于0.5S,退出时间调整
MOV R0,#79H ;按下时间短于0.5S,加1h操作
LCALL ADD1 ;调用加1子程序
MOV A,R3
CLR C
CJNE A,#24H,HOUU ;计时单元数据与24比较
HOUU: JC SET6 ;小于24,转SET6循环
LCALL CLR0 ;大于或等于24时,清0操作
AJMP SET6 ;跳到SET6处循环
SETOUT: JNB P3.3,SETOUT1 ;调时退出程序.等待键释放
LCALL DISPLAY ;延时消抖
JNB P3.3,SETOUT ;是抖动,返回SETOUT再等待
CLR 01H ;清调小时标志
CLR 00H ;清调分标志
CLR 02H ;清闪烁标志
CLR TR1 ;关闭定时器T1
CLR ET1 ;关定时器T1中断
SETB TR0 ;开启定时器T0
SETB ET0 ;开启定时器T0中断,,计时开始
LJMP START1 ;跳回主程序
SET1: LCALL DISPLAY ;键释放等待调时用显示程序
AJMP SET2 ;防止键按下时无时钟显示
SET3: LCALL DISPLAY ;等待调分按键时时钟显示用
JNB P3.3,FUNSUB ;减1分操作
AJMP SET4 ;调分等待
SET5: LCALL DISPLAY ;键释放等待调时用显示程序
AJMP SETHH1 ;防止键按下时无时钟显示
SET7: LCALL DISPLAY ;等待调小时按键时时钟显示用
JNB P3.3,FUNSUBB ;小时减1操作
AJMP SET6 ;调时等待
SETOUT1:LCALL DISPLAY ;退出时钟整时键释放等待
AJMP SETOUT ;防止键按下时无时钟显示
;FUNSUB,分减1程序?
FUNSUB: LCALL DISPLAY ;延时消抖
JB P3.3,SET41 ;干扰,返回调分等待
FUNSUB1:JNB P3.3,FUNSUB1 ;等待键放开
MOV R0,#77H
LCALL SUB1 ;分减1程序
LJMP SET4 ;返回调分等待
;
SET41: LJMP SET4
;FUNSUB,时减1程序?
FUNSUBB:LCALL DISPLAY ;消抖动
JB P3.4,SET61 ;干扰,返回调时等待
FUNSUBB1:JNB P3.4,FUNSUBB1
MOV R0,#79H
LCALL SUBB1
LJMP SET6
;
SET61: LJMP SET6
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;; 显示程序 ;;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;显示数据在70H~75H单元内,用六位LED共阳数码管显示。
;扫描控制,每个LED数码管亮1mS时间。
DISPLAY:
MOV R1,#70H ;指向显示数据首址
MOV R5,#0DFH ;扫描控制字初值
PLAY: MOV A,R5 ;扫描字放入A
MOV P2,A ;从P2口输出
MOV A,@R1 ;取显示数据到A
MOV DPTR,#TAB ;取段码表地址
MOVC A,@A+DPTR ;查显示数据对应段码
MOV P0,A ;段码放入P0口
MOV A,R5
JB ACC_1,LOOP5 ;小数点处理
CLR P0.7
LOOP5: JB ACC_3,LOOP6
CLR P0.7
LOOP6: LCALL DL1MS ;显示1MS
INC R1 ;指向下一地址
MOV A,R5 ;扫描控制字放入A
JNB ACC_0,ENDOUT ;ACC.0=0时,一次显示结束
RR A ;A中数据循环左移
MOV R5,A ;放回R5内
MOV P0,#0FFH
AJMP PLAY ;跳回:PLAY循环.
ENDOUT: MOV P2,#0FFH ;一次显示结束,P2口复位
MOV P0,#0FFH ;P0口复位
RET ;子程序返回
TAB: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,0FFH,88H,0BFH
;共阳段码表 1、 2、 3、 4、 5、 6、 7、 8、 9、不亮、A、—。
;
;SDISPLAY,上电显示程序
;不带小数点,有A、— 显示功能
SDISPLAY:
MOV R5,#0DFH ;扫描控制字初值
SPLAY: MOV A,R5 ;扫描字放入A
MOV P2,A ;从P2口输出
MOV A,@R1 ;取显示数据到A
MOV DPTR,#TABS ;取段码表地址
MOVC A,@A+DPTR ;查显示数据对应段码
MOV P0,A ;段码放入P0口
MOV A,R5
LCALL DL1MS ;显示1MS
INC R1 ;指向下一地址
MOV A,R5 ;扫描控制字放入A
JNB ACC_0,ENDOUTS ;ACC.0=0时,一次显示结束
RR A ;A中数据循环左移
MOV R5,A ;放回R5内
AJMP SPLAY ;跳回:PLAY循环.
ENDOUTS:MOV P2,#0FFH ;一次显示结束,P2口复位
MOV P0,#0FFH ;P0口复位
RET ;子程序返回
TABS: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,0FFH,88H,0BFH
;STAB表,启动时显示2003-12-07、A01-2-28
;
STAB: DB 0AH,0AH,0AH,0AH,0AH,0AH,08H,02H,0CH,02H,0CH,01H,00H,0BH,0AH,0AH
DB 07H,00H,0CH
DB 07H,00H,0CH,02H,01H,0CH,03H,00H,00H,02H,0AH,0AH,0AH,0AH,0AH,0AH
;注:0A不亮,0B显示“A”,0C显示“-”
;ST子程序,上电时显示年月日用,采用移动显示
ST: MOV R0,#40H ;将显示内容移入40H~50H单元
MOV R2,#20H
MOV R3,#00H
CLR A
MOV DPTR,#STAB
SLOOP:
MOVC A,@A+DPTR
MOV @R0,A
MOV A,R3
INC A
MOV R3,A
INC R0
DJNZ R2,SLOOP ;移入完毕
MOV R1,#5AH
MOV R3,#1BH ;显示27个单元
SSLOOP:
MOV R2,#32H ;控制移动速度
SSLOOP1:
LCALL SDISPLAY
DJNZ R2,SSLOOP11
MOV A,R1
SUBB A,#07H ;显示首址修正为低1个单元
MOV R1,A
DJNZ R3,SSLOOP
RET
SSLOOP11:
MOV A,R1 ;指针修正为原值
SUBB A,#06H
MOV R1,A
AJMP SSLOOP1
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;;;;;;;;;;;;; 延时程序 ;;;;;;;;;;;;;;;;;;;;;;;;;;;
;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
;;1ms延时程序,LED显示程序用
DL1MS: MOV R6,#14H
DL1: MOV R7,#19H
DL2: DJNZ R7,DL2
DJNZ R6,DL1
RET;20MS延时程序,采用调用显示子程序,以改善LED的显示闪烁现象
DS20MS: ACALL DISPLAY
ACALL DISPLAY
ACALL DISPLAY
RET;延时程序,用作按键时间的长短判断
DL1S:
LCALL DL05S
LCALL DL05S
RET
DL05S:
MOV R3,#20H ;8ms*32=0.196s
DL05S1:
LCALL DISPLAY
DJNZ R3,DL05S1
RET;
END ;程序结束
温馨提示:答案为网友推荐,仅供参考
第1个回答  2010-07-01
我也找了老久了,没有啊