在VHDL设计中,给时序电路清零(复位)有两种方法,他们是什么?

如题所述

同步清零和异步清零。
同步清零是指与时钟同步,即时钟触发条件满足时检测清零信号是否有效,有效则在下一个时间周期的触发条件下,执行清零。
异步清零是清零信号有效时,无视触发脉冲,立即清零。
温馨提示:答案为网友推荐,仅供参考
第1个回答  2010-11-13
清零就是将它的值赋零而已,你说有两种方法,我知道有两种赋值方法,说说,看是不是你所要的
比如说,signal cnt:std_logic_vector(3 downto 0);

对cnt清零,1、if reset='1' then
cnt<="0000";
2、if reset='1' then
cnt<=(other=>'0');
第2个回答  推荐于2018-03-05
同步复位和异步复位。同步复位是指与时钟同步,当复位信号有效之后,出现时钟有效边沿时才对电路模块进行复位操作;而异步复位与时钟信号无关,只要复位信号有效,无论这时时钟信号是什么样,都对电路模块进行复位操作。
例如同步复位D触发器:
IF clock'event AND clock='1' THEN
IF reset_n='0' THEN
q <= (OTHERS => '0');
ELSE
q <= d;
END IF;
END IF;
异步复位D触发器:
IF reset_n='0' THEN
q <= (OTHERS => '0');
ELSIF clock'event AND clock='1' THEN
q <= d;
END IF;本回答被提问者和网友采纳