33问答网
所有问题
数字钟VHDL程序
可以进行时,分,秒的计时功能,分别为22小时,72分,62秒,有校时功能,校分,要有详细程序~高手帮忙!!
举报该问题
其他回答
第1个回答 2008-12-23
程序启动,校时,校分使能输入
校对用的加减输入
时分秒显示输出
根据CLK进行“秒”的累加,逐次进行进位判断。“时”就根据“分”的进位判断。这是数字电路连线的思路啦。呵呵
http://zhidao.baidu.com/question/76922909.html
还可以参考一下这个,要方便的多本回答被提问者采纳
相似回答
数字钟
设计 用
VHDL语言
实现 你怎么做的
答:
源代码如下 自己把各个模块打好包 下面有个图 自己看看 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY TZKZQ IS PORT(KEY: IN STD_LOGIC_VECTOR(1 DOWNTO 0); --按键信号 CLK_KEY: IN STD_LOGIC; --键盘扫描信号 MAX_DAYS:IN STD_LOGIC_...
用
VHDL语言
编写一
数字时钟
答:
use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--- entity digital is port( Clk : in std_logic; --时钟输入 Rst : in std_logic; --复位输入 S1,S2 : in std_logic; --时间调节输入 led : out ...
基于
vhdl语言
设计一个
数字钟
高手帮帮忙啊
答:
采用原理图输入方式实现2分频与1000分频,但这里并没有用到1000分频,因为后来考虑到精度问题,将千分频用直接输入了。
程序
如图:利用三个7490进行硬件分频!微秒模块采用
VHDL语言
输入方式,以时钟clk,清零信号clr以及暂停信号STOP为进程敏感变量,程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_uns...
大家正在搜
基于Lcd的简易数字钟程序流程图
数字钟程序
数字钟verilog程序
单片机数字钟程序
多功能数字钟设计程序
51数字时钟程序
单片机多功能数字钟秒表程序
数字钟程序设计
单片机数字钟秒表程序
相关问题
数字钟vhdl程序代码源
VHDL数字时钟完整程序代码(要求要有元件例化,并
数字钟设计 用VHDL语言实现 你怎么做的
求一个数字钟vhdl程序: 设计一个能显示1/10秒、秒、分...
请高手帮忙改一个vhdl数字钟程序
VHDL数字时钟完整程序代码(要求要有元件例化,并且有按键消...
求一个数字钟VHDL程序: 设计一个能显示1/10秒、秒、分...