33问答网
所有问题
设计一个带使能输入的和同步清零的十进制计数器的vhdl语言程序
如题所述
举报该问题
其他回答
第1个回答 2015-10-13
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY 8_COUNT IS PORT(CLK,RESET,EN:IN STD_LOGIC; OUTPUT:OUT INTEGER RANGE 0 TO 7); END ENTITY; ARCHITECTURE ART OF 8_COUNT IS BEGIN PROCESS
本回答被网友采纳
相似回答
怎样用
VHDL设计
含有异步
清零和同步
时钟
使能的10
位加法
计数器
答:
begin if(clr='0') then ---异步清零 reg<="00000000000";elsif(clkin'event and clkin='1') then if(en='1')then ---
同步使能
reg<=('0'&a)+('0'&b); ---求和 end if;end if;end process;--- c<=reg(9 downto 0); ---赋值给和 ci<=reg(10); ---...
求用
VHDL语言
实现
十进制同步
减法
计数器
(异步
清零
、同步预置、下降沿触...
答:
VHDL语言
实现
十进制同步
减法
计数器
(异步清零、同步预置、下降沿触发、带借位输出BO端)。原
程序
如下,改程序已经通过仿真,仿真结果见图,输入D的值设为3,同步置位后,输出Q=D=3,功能实现。LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned...
高分!急!求:用
VHDL
描述
一个同步十进制计数器
,
带清零
端。
输入
为单脉冲...
答:
signal data:std_logic_vector(3 downto 0);Signal Data1:std_logic_vector(3 downto 0);Signal Data2:std_logic_vector(3 downto 0);signal count_1:STD_LOGIC_vector (3 DOWNTO 0);signal count_2:STD_LOGIC_vector (3 DOWNTO 0);signal outdata1:std_logic_vector(6 downto 0);si...
大家正在搜
同步带多一个齿还同步吗
设计一个同步送料带
同步轮和同步带怎样配型
定做同步轮和同步带
同步轮同步带怎么选大小
同步齿形带的标注
同步轮带计算选型
带输入的下拉框
怎么输入带圈的1至10
相关问题
请哪位高手写下:设计含有异步清零和计数使能的二位十进制加减可...
求EDA用VHDL语言的程序设计,急急急!给高分!(要求完成...
求高手VHDL!!设计一个具有同步清零和并行输出的十进制加法...
设计一个带使能输入及同步清0的8位加法计数器并产生最高位进位...
用VHDL源程序设计一个带同步置数和同步清零端的100进制计...
怎样用VHDL设计含有异步清零和同步时钟使能的10位加法计数...
求用VHDL语言实现 十进制同步减法计数器(异步清零、同步预...
VHDL设计一个带异步清零、同步置位功能的1位十进制同步可逆...