设计一个带使能输入的和同步清零的十进制计数器的vhdl语言程序

如题所述

第1个回答  2015-10-13
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY 8_COUNT IS PORT(CLK,RESET,EN:IN STD_LOGIC; OUTPUT:OUT INTEGER RANGE 0 TO 7); END ENTITY; ARCHITECTURE ART OF 8_COUNT IS BEGIN PROCESS本回答被网友采纳